Journal of Engineering and Applied Sciences

Year: 2019
Volume: 14
Issue: 10
Page No. 3283 - 3288

Wire-demotion for Static Timing Optimization in Advanced Technology Nodes

Authors : Lekbir Cherif, Mohammed Darmi, Jalal Benallal, Rachid Elgouri and Nabil Hmina

Abstract: In Integrated Circuits (ICs) conception, the timing optimization techniques continually need enhancements. One way to avoid area increase during hold optimization is to optimize routing in order to bring down the Worst Hold and the Total Hold Slacks (WHS/THS) before regular Hold optimization. High performance, low power and small area (PPA) are the most customer requirements from new technology nodes. This indicates that any new optimization technique should improve one or all of the aforementioned requirements. This study, consider the high resistance sensitivity to “Self-Aligned Double atterning” (SADP) process as an advantage and suggest a new timing optimization technique based on wire promotion. It consists on driving the EDA tool to use high resistive SADP layers for wires on hold timing paths. Which will free-up less resistive No-SADP layers for wires on setup timing paths. The target nets are issued by a statistical approach that helps on getting the targets with the maximum benefit. Experience on multiple 7 nm-SADP designs shows 41% WHS and 37% THS improvement with 0% area increase, compared to baseline flow. As a consequence, the worst negative and the total negative slacks (WHS/THS) are also well conserved and even improved up to 24 and 83%, respectively in some test-cases.

How to cite this article:

Lekbir Cherif, Mohammed Darmi, Jalal Benallal, Rachid Elgouri and Nabil Hmina, 2019. Wire-demotion for Static Timing Optimization in Advanced Technology Nodes. Journal of Engineering and Applied Sciences, 14: 3283-3288.

Design and power by Medwell Web Development Team. © Medwell Publishing 2024 All Rights Reserved