Asian Journal of Information Technology

Year: 2016
Volume: 15
Issue: 14
Page No. 2531 - 2541

NoC Architecture: A Closer Look

Authors : Muhammad Athar Javed Sethi, Fawnizu Azmadi Hussin and Nor Hisham Hamid

References

Agarwal, A., C. Iskander and R. Shankar, 2009. Survey of Network on Chip (NOC) architectures and contributions. J. Eng. Comput. Archit., 3: 21-27.

Agarwal, N., T. Krishna, L.S. Peh and N.K. Jha, 2009. GARNET: A detailed on-chip network model inside a full-system simulator. Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, April 26-28, 2009, IEEE, New York, USA., ISBN: 978-1-4244-4184-6, pp: 33-42.

Al Faruque, M.A., T. Ebi and J. Henkel, 2012. AdNoC: Runtime adaptive network-on-chip architecture. IEEE Trans. Very Large Scale Integr. Syst., 20: 257-269.
CrossRef  |  

Amde, M., T. Felicijan, A. Efthymiou, D. Edwards and L. Lavagno, 2005. Asynchronous on-chip networks. IEEE. Proc. Comput. Digital Tech., 152: 273-283.
CrossRef  |  Direct Link  |  

Bahirat, S. and S. Pasricha, 2014. HELIX: Design and synthesis of hybrid nanophotonic application-specific network-on-chip architectures. Proceedings of the 15th International Symposium on Quality Electronic Design, March 3-5, 2014, IEEE, New York, USA., ISBN: 978-1-4799-3946-6, pp: 91-98.

Bainbridge, J. and S. Furber, 2002. Chain: A delay-insensitive chip area interconnect. IEEE. Micro, 22: 16-23.

Bartic, T.A., J.Y. Mignolet, V. Nollet, T. Marescaux and D. Verkest et al., 2005. Topology adaptive network-on-chip design and implementation. IEEE. Proc. Comput. Digital Tech., 152: 467-472.
CrossRef  |  Direct Link  |  

Beigne, E., F. Clermidy, H. Lhermet, S. Miermont and Y. Thonnart et al., 2009. An asynchronous power aware and adaptive NoC based circuit. IEEE. J. Solid State Circuits, 44: 1167-1177.
CrossRef  |  Direct Link  |  

Beigne, E., F. Clermidy, P. Vivet, A. Clouard and M. Renaudin, 2005. An asynchronous NOC architecture providing low latency service and its multi-level design framework. Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems, March 14-16, 2005, IEEE, New York, USA., ISBN: 0-7695-2305-6, pp: 54-63.

Bell, S., B. Edwards, J. Amann, R. Conlin and K. Joyce et al., 2008. Tile 64-processor: A 64-core soc with mesh interconnect. Proceedings of the 2008 IEEE International Conference on Solid-State Circuits Digest of Technical Papers, Feburary 3-7, 2008, IEEE, NEW York, USA., ISBN: 978-1-4244-2010-0, pp: 88-598.

Bertozzi, D. and L. Benini, 2004. Xpipes: A network-on-chip architecture for gigascale systems-on-chip. IEEE. Circuits Syst. Mag., 4: 18-31.
CrossRef  |  Direct Link  |  

Bjerregaard, T. and J. Sparso, 2004. Virtual channel designs for guaranteeing bandwidth in asynchronous network-on-chip. Proceedings of the Conference on Norchip, Novembr 8-9, 2004, IEEE, New York, USA., ISBN: 0-7803-8510-1, pp: 269-272.

Bjerregaard, T. and J. Sparso, 2005. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. Proceedings of the IEEE Conference on Design, Automation and Test in Europe, March 7-11, 2005, IEEE, New York, USA., ISBN: 0-7695-2288-2, pp: 1226-1231.

Bjerregaard, T. and J. Sparso, 2005. Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip. Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems, March 14-16, 2005, IEEE, New York, USA., ISBN: 0-7695-2305-6, pp: 34-43.

Bjerregaard, T. and S. Mahadevan, 2006. A survey of research and practices of network-on-chip. ACM. Comput. Surv., Vol.38,

Bolotin, E., I. Cidon, R. Ginosar and A. Kolodny, 2004. QNoC: QoS architecture and design process for network on chip. J. Syst. Architecture, 50: 105-128.
CrossRef  |  

Bouhraoua, A. and M.E. Elrabaa, 2006. A high-throughput network-on-chip architecture for systems-on-chip interconnect. Proceedings of the 2006 International Symposium on System-on-Chip, November 13-16, 2006, IEEE, New York, USA., ISBN: 1-4244-0621-8, pp: 1-4.

Butts, M., 2007. Synchronization through communication in a massively parallel processor array. IEEE. Micro, 27: 32-40.
CrossRef  |  Direct Link  |  

Chan, J. and S. Parameswaran, 2004. NoCGEN: A template based reuse methodology for networks on chip architecture. Proceedings of the 17th International Conference on VLSI Design, January 9-9, 2004, IEEE, New York, USA., ISBN: 0-7695-2072-3, pp: 717-720.

Chang, K.C., J.S. Shen and T.F. Chen, 2006. Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs. Proceedings of the 43rd Annual Conference on Design Automation, July 24-28, 2006, ACM, New York, USA., ISBN:1-59593-381-6, pp: 143-148.

Chi, H.C. and J.H. Chen, 2004. Design and implementation of a routing switch for on-chip interconnection networks. Proceedings of the 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits, August 5-5, 2004, IEEE, New York, USA., ISBN: 0-7803-8637-X, pp: 392-395.

Ching, D., P. Schaumont and I. Verbauwhede, 2005. Integrated modelling and generation of a reconfigurable network-on-chip. Intl. J. Embedded Syst., 1: 218-227.
CrossRef  |  Direct Link  |  

Choudhary, S. and S. Qureshi, 2012. Performance evaluation of mesh-based NoCs: Implementation of a new architecture and routing algorithm. Intl. J. Autom. Comput., 9: 403-413.
CrossRef  |  Direct Link  |  

Coppola, M., R. Locatelli, G. Maruccia, L. Pieralisi and A. Scandurra, 2004. Spidergon: A novel on-chip communication network. Proceedings of the 2004 International Symposium on System-on-Chip, November 16-18, 2004, IEEE, New York, USA., ISBN: 0-7803-8558-6, pp: 15-15.

Dally, W.J. and B. Towles, 2001. Route packets, not wires: On-chip interconnection networks. Proceedings of the Design Automation Conference, June 18-22, 2001, Las Vegas, Nev, USA., pp: 684-689.

Emerson, K., 1997. Asynchronous design-An interesting alternative. Proceedings of the 10th International Conference on VLSI Design, January 4-7, 1997, New York, USA., ISBN: 0-8186-7755-4, pp: 318-320.

Evain, S., J.P. Diguet and D. Houzet, 2004. μ spider: A CAD tool for efficient NoC design. Proceedings of the Conference on Norchip, November 8-9, 2004, IEEE, New York, USA., ISBN: 0-7803-8510-1, pp: 218-221.

Feero, B.S. and P.P. Pande, 2009. Networks-on-Chip in a Three-dimensional environment: A performance evaluation. IEEE Trans. Comput., 58: 32-45.
CrossRef  |  

Feliciian, F. and S.B. Furber, 2004. An asynchronous on-chip network router with Quality-of-Service (QoS) support. Proceedings of the IEEE International Conference on SOC, September 12-15, 2004, IEEE, New York, USA., ISBN: 0-7803-8445-8, pp: 274-277.

Forsell, M., 2002. A scalable high-performance computing solution for networks on chips. IEEE. Micro, 22: 46-55.

Franco, F.J., C. Palomar, J.G. Izquierdo and J.A. Agapito, 2015. SPICE simulations of single event transients in bipolar analog integrated circuits using public information and free open source tools. IEEE. Trans. Nucl. Sci., 62: 1625-1633.
CrossRef  |  Direct Link  |  

Ganguly, A., K. Chang, S. Deb, P.P. Pande and B. Belzer et al., 2011. Scalable hybrid wireless network-on-chip architectures for multicore systems. IEEE. Trans. Comput., 60: 1485-1502.
CrossRef  |  Direct Link  |  

Gohringer, D., M. Hubner, L.H. Derville and J. Becker, 2010. Message passing interface support for the runtime adaptive multi-processor system-on-chip RAMPSoC. Proceedings of the 2010 International Conference on Embedded Computer Systems (SAMOS), July 19-22, 2010, IEEE, New York, USA., ISBN: 978-1-4244-7938-2, pp: 357-364.

Gratz, P., C. Kim, K. Sankaralingam, H. Hanson and P. Shivakumar et al., 2007. On-chip interconnection networks of the TRIPS chip. IEEE. Micro, 27: 41-50.
CrossRef  |  Direct Link  |  

Guerrier, P. and A. Greiner, 2000. A generic architecture for on-chip packet-switched interconnections. Proceedings of the conference on Design, automation and test in Europe, March 27-30, 2000, ACM, New York, USA., ISBN: 1-58113-244-1, pp: 250-256.

Hilton, C. and B. Nelson, 2006. PNoC: A flexible circuit-switched NoC for FPGA-based systems. IEE. Proc. Comput. Digital Tech., 153: 181-188.
CrossRef  |  Direct Link  |  

Hosseinabady, M., M.R. Kakoee, J. Mathew and D.K. Pradhan, 2007. Reliable network-on-chip based on generalized de Bruijn graph. Proceedings of the IEEE International Workshop on High Level Design Validation and Test, November 7-9, 2007, IEEE, New York, USA., ISBN: 978-1-4244-1480-2, pp: 3-10.

Hu, J. and R. Marculescu, 2003. Exploiting the routing flexibility for energy/performance aware mapping of regular NOC architectures. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, March 3-7, 2003, Munich, Germany, pp: 688-693.

Hu, J. and R. Marculescu, 2004. DyAD: Smart routing for networks-on-chip. Proceedings of the 41st Annual Conference on Design Automation, June 7-11, 2004, ACM, New York, USA., ISBN:1-58113-828-8, pp: 260-263.

Itzhak, Y.B., E. Zahavi, I. Cidon and A. Kolodny, 2012. HNOCS: Modular open-source simulator for heterogeneous NoCs. Proceedings of the IEEE 2012 International Conference on Embedded Computer Systems (SAMOS), July 16-19, 2012, IEEE, New York, USA., ISBN: 978-1-4673-2297-3, pp: 51-57.

Janarthanan, A. and K.A. Tomko, 2008. MoCSYS: A multi-clock hybrid two-layer router architecture and integrated topology synthesis framework for system-level design of FPGA based on-chip networks. Proceedings of the 21st International Conference on VLSI Design (VLSID 2008), January 4-8, 2008, IEEE, New York, USA., ISBN: 0-7695-3083-4, pp: 397-402.

Janarthanan, A., V. Swaminathan and K.A. Tomko, 2007. MoCReS: An area-efficient multi-clock on-chip network for reconfigurable systems. Proceedings of the IEEE Annual Symposium on Computer Society VLSI, March 9-11, 2007, IEEE, New York, USA., ISBN: 0-7695-2896-1, pp: 455-456.

Kao, Y.H. and H.J. Chao, 2014. Design of a bufferless photonic clos network-on-chip architecture. IEEE. Trans. Comput., 63: 764-776.
CrossRef  |  Direct Link  |  

Karim, F., A. Nguyen and S. Dey, 2002. An interconnect architecture for networking systems on chips. IEEE. Micro, 22: 36-45.

Kariniemi, H. and J. Nurmi, 2006. On-line reconfigurable XGFT network-on-chip designed for improving the fault-tolerance and manufacturability of the MPSoC chips. Proceedings of the 2006 International Conference on Field Programmable Logic and Applications, August 28-30, 2006, IEEE, New York, USA., ISBN: 1-4244-0312-X, pp: 1-6.

Kavaldjiev, N., G.J. Smit, P.G. Jansen and P.T. Wolkotte, 2006. A virtual channel network-on-chip for GT and BE traffic. Proceedings of the IEEE Annual Symposium on Computer Society Emerging VLSI Technologies and Architectures (ISVLSI'06), March 2-3, 2006, IEEE, New York, USA., ISBN: 0-7695-2533-4, PP: 6-6.

Krasteva, Y.E., E.D.L. Torre and T. Riesgo, 2010. Reconfigurable networks on chip: DRNoC architecture. J. Syst. Archit., 56: 293-302.
Direct Link  |  

Kumar, A., L.S. Peh, P. Kundu and N.K. Jha, 2008. Toward ideal on-chip communication using express virtual channels. IEEE. Micro, 28: 80-90.

Kumar, S., A. Jantsch, M. Millberg, J. Oberg and J.P. Soininen et al., 2002. A network on chip architecture and design methodology. Proceedings of the IEEE Computer Society Annual Symposium on VLSI, April 26-26, 2002, Pittsburgh, PA., USA., pp: 105-112.

Lan, Y.C., H.A. Lin, S.H. Lo, Y.H. Hu and S.J. Chen, 2011. A bidirectional NoC (BiNoC) architecture with dynamic self-reconfigurable channel. IEEE. Trans. Comput. Aided Des. Integr. Circuits Syst., 30: 427-440.
CrossRef  |  Direct Link  |  

Lee, H.G., U.Y. Ogras, R. Marculescu and N. Chang, 2006. Design space exploration and prototyping for on-chip multimedia applications. Proceedings of the 43rd Annual Conference on Design Automation, July 24-28, 2006, ACM, New York, USA., ISBN:1-59593-381-6, pp: 137-142.

Lee, K., S.J. Lee and H.J. Yoo, 2006. Low-power network-on-chip for high-performance SoC design. IEEE Trans. Very Large Scale Integr. Syst., 14: 148-160.
CrossRef  |  

Lee, S., N. Togawa, Y. Sekihara, T. Aoki and A. Onozawa, 2012. A hybrid NoC architecture utilizing packet transmission priority control method. Proceedings of the 2012 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), December 2-5, 2012, IEEE, New York, USA., ISBN: 978-1-4577-1729-1, pp: 404-407.

Lee, S.J., K. Kim, H. Kim, N. Cho and H.J. Yoo, 2005. Adaptive network-on-chip with wave-front train serialization scheme. Proceedings of the Symposium on VLSI Circuits Digest of Technical Papers, June 16-18, 2005, USA., pp: 104-107.

Lee, S.J., S.J. Song, K. Lee, J.H. Woo and S.E. Kim et al., 2003. An 800MHz star-connected on-chip network for application to systems on a chip. Proceedings of the 2003 IEEE International Conference on Solid-State Circuits Digest of Technical Papers, February 13-13, 2003, IEEE, New York, USA., ISBN: 0-7803-7707-9, pp: 468-469.

Leroy, A., P. Marchal, A. Shickova, F. Catthoor and F. Robert et al., 2005. Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs. Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, September 19-21, 2005, ACM, New York, USA., ISBN:1-59593-161-9, pp: 81-86.

Liang, J., A. Laffely, S. Srinivasan and R. Tessier, 2004. An architecture and compiler for scalable on-chip communication. IEEE. Trans. Very Large Scale Integr. Syst., 12: 711-726.
CrossRef  |  Direct Link  |  

Liang, J., S. Swaminathan and R. Tessier, 2000. ASOC: A scalable, single-chip communications architecture. Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, October 15-19, 2000, Philadelphia, PA., pp: 37-46.

Lines, A., 2004. Asynchronous interconnect for synchronous SoC design. IEEE. Micro, 24: 32-41.
CrossRef  |  Direct Link  |  

Logvinenko, A., C. Gremzow and D. Tutsch, 2013. RecMIN: A reconfiguration architecture for network on chip. Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), July 10-12, 2013, IEEE, New York, USA., ISBN: 978-1-4673-6180-4, pp: 1-6.

Millberg, M., E. Nilsson, R. Thid and A. Jantsch, 2004. Guaranteed bandwidth using looped containers in temporally disjoint networks within the Nostrum network on chip. Proceedings of the Conference on Design, Automation and Test in Europe and Exhibition, February 16-20, 2004, IEEE, New York, USA., ISBN: 0-7695-2085-5, pp: 890-895.

Mishra, P., A. Nidhi and J.K. Kishore, 2012. Custom network on chip architecture for map generation in autonomous navigating robots. Proceedings of the 2012 IEEE Annual Conference on India (INDICON), December 7-9, 2012, IEEE, New York, USA., ISBN: 978-1-4673-2272-0, pp: 086-091.

Moraes, F., N. Calazans, A. Mello, L. Moller and L. Ost, 2004. HERMES: An infrastructure for low area overhead packet-switching networks on chip. Integr. VLSI. J., 38: 69-93.
Direct Link  |  

Moursy, M.A.E., D. Korzec and M. Ismail, 2009. High throughput architecture for OCTAGON network on chip. Proceedings of the 16th IEEE International Conference on Electronics, Circuits and Systems, December 13-16, 2009, IEEE, New York, USA., ISBN: 978-1-4244-5090-9, pp: 101-104.

Muralimanohar, N., R. Balasubramonian and N. Jouppi, 2007. Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, December 1-5, 2007, IEEE Computer Society, New York, USA., ISBN: 0-7695-3047-8, pp: 3-14.

Neeb, C. and N. Wehn, 2008. Designing efficient irregular networks for heterogeneous systems-on-chip. J. Syst. Archit., 54: 384-396.
Direct Link  |  

Ogras, U.Y., J. Hu and R. Marculescu, 2005. Key research problems in NoC design: A holistic perspective. Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, September 19-21, 2005, ACM, New York, USA., ISBN:1-59593-161-9, pp: 69-74.

Pande, P.P., C. Grecu, A. Ivanov and R. Saleh, 2003. High-throughput switch-based interconnect for future SoCs. Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, July 2-2, 2003, IEEE, Vancouver, British Columbia, Canada, ISBN: 0-7695-1944-X, pp: 304-310.

Paukovits, C. and H. Kopetz, 2008. Concepts of switching in the time-triggered network-on-chip. Proceedings of the 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, August 25-27, 2008, IEEE, Vienna, Austria, ISBN: 978-0-7695-3349-0, pp: 120-129.

Pena, R.J.C. and P.R. Michel, 2011. Network on chip architectures for high performance digital signal processing using a configurable core. Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, November 30-December 2, 2011, IEEE, Guadalajara, Mexico, ISBN: 978-1-4577-1734-5, pp: 375-379.

Penolazzi, S. and A. Jantsch, 2006. A high level power model for the Nostrum NoC. Proceedings of the 9th EUROMICRO Conference on Digital System Design (DSD'06), August 30-September 1, 2006, IEEE, New York, USA., ISBN: 0-7695-2609-8, pp: 673-676.

Postman, J., T. Krishna, C. Edmonds, L.S. Peh and P. Chiang, 2013. Swift: A low-power network-on-chip implementing the token flow control router architecture with swing-reduced interconnects. IEEE. Trans. Very Large Scale Integr. Syst., 21: 1432-1446.
CrossRef  |  Direct Link  |  

Qouneh, A., Z. Li, M. Joshi, W. Zhang and X. Fu et al., 2012. Aurora: A thermally resilient photonic network-on-chip architecture. Proceedings of the IEEE 30th International Conference on Computer Design (ICCD), September 30-October 3, 2012, IEEE, New York, USA., ISBN: 978-1-4673-3052-7, pp: 379-386.

Radulescu, A. and K. Goossens, 2004. Communication services for networks on chip. Domain Specific Processors Syst. Archit. Model. Simul., 2004: 193-213.

Rijpkema, E., K. Goossens, A. Radulescu, J. Dielissen and J.V. Meerbergen et al., 2003. Trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip. IEEE. Proc. Comput. Digital Tech., 150: 294-302.
CrossRef  |  Direct Link  |  

Rufas, D.C., J. Joven and J. Carrabina, 2006. A validation and performance evaluation tool for ProtoNoC. Proceedings of the 2006 International Symposium on System-on-Chip, November 13-16, 2006, IEEE, New York, USA., ISBN: 1-4244-0621-8, pp: 1-4.

Salminen, E., T. Kangas, T.D. Hamalainen, J. Riihimaki and V. Lahtinen et al., 2006. HIBI communication network for system-on-chip. J. VLSI. Signal Process. Syst. Signal Image Video Technol., 43: 185-201.
CrossRef  |  Direct Link  |  

Samuelsson, H., and S. Kumar, 2004. Ring road NoC architecture. Proceedings of the Conference on Norchip, November 8-9, 2004, IEEE, New York, USA., ISBN: 0-7803-8510-1, pp: 16-19.

Schoeberl, M., 2007. A time-triggered network-on-chip. Proceedings of the 2007 International Conference on Field Programmable Logic and Applications, August 27-29, 2007, IEEE, New York, USA., ISBN: 978-1-4244-1059-0, pp: 377-382.

Schuck, C., S. Lamparth and J. Becker, 2007. Artnoc-A novel multi-functional router architecture for Organic Computing. Proceeding of the 2007 International Conference on Field Programmable Logic and Applications, August 27-29, 2007, IEEE, New York, USA., ISBN: 978-1-4244-1059-0, pp: 371-376.

Seifi, M.R. and M. Eshghi, 2012. Clustered NOC, a suitable design for group communications in network on Chip. Comput. Electr. Eng., 38: 82-95.
Direct Link  |  

Sethi, M.A.J., F.A. Hussin and N.H. Hamid, 2013. Implementation of biological sprouting algorithm for NoC fault tolerance. Proceedings of the 2013 IEEE International Conference on Circuits and Systems (ICCAS), September 18-19, 2013, IEEE, New York, USA., ISBN: 978-1-4799-1337-4, pp: 39-44.

Sethi, M.A.J., F.A. Hussin and N.H. Hamid, 2013. Synaptogenesis based bio-inspired NoC fault tolerant interconnects. Proceedings of the IEEE International Conference on Control System, Computing and Engineering (ICCSCE), November 29-December 1, 2013, IEEE, New York, USA., ISBN: 978-1-4799-1508-8, pp: 46-51.

Sethi, M.A.J., F.A. Hussin and N.H. Hamid, 2014. Bio-inspired NoC fault tolerant techniques. Proceedings of the 2014 5th International Conference on Intelligent and Advanced Systems (ICIAS), June 3-5, 2014, IEEE, New York, USA., ISBN: 978-1-4799-4653-2, pp: 1-6.

Sethi, M.A.J., F.A. Hussin and N.H. Hamid, 2016. Bio-inspired NoC fault tolerant techniques using guaranteed throughput and best effort services. Integr. VLSI. J., 54: 65-96.
Direct Link  |  

Sethi, M.A.J., F.A. Hussin and N.H. Hamid, 2016. Implementation and analysis of biological synaptogenesis technique on nodes and interconnects for NoC fault tolerance. Res. J. Appl. Sci., Eng. Technol., 12: 483-489.
CrossRef  |  Direct Link  |  

Shanthi, M.D.A.D., 2014. FPGA based adaptive resource efficient error control methodology for network on chip. Res. J. Appl. Sci., 9: 48-52.

Soteriou, V., N. Eisley, H. Wang, B. Li and L.S. Peh, 2007. Polaris: A system-level roadmap for on-chip interconnection networks. Proceedings of the 2006 International Conference on Computer Design, October 1-4, 2006, IEEE, New York, USA., ISBN: 978-0-7803-9706-4, pp: 134-141.

Stefan, R.A., A. Molnos and K. Goossens, 2014. Daelite: A tdm noc supporting qos, multicast and fast connection set-up. IEEE. Trans. Comput., 63: 583-594.
CrossRef  |  Direct Link  |  

Stensgaard, M.B. and J. Sparso, 2008. Renoc: A network-on-chip architecture with reconfigurable topology. Proceedings of the 2nd ACM/IEEE International Symposium on Networks-on-Chip, April 7-10, 2008, IEEE, New York, USA., ISBN: 978-0-7695-3098-7, pp: 55-64.

Taylor, M.B., J. Kim, J. Miller, D. Wentzlaff and F. Ghodrat et al., 2002. The raw microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE Micro, 22: 25-35.
CrossRef  |  

Teehan, P., M. Greenstreet and G. Lemieux, 2007. A survey and taxonomy of GALS design styles. IEEE. Design Test Comput., 24: 418-428.
CrossRef  |  Direct Link  |  

Tortosa, D.S., T. Ahonen and J. Nurmi, 2004. Issues in the development of a practical NoC: The proteo concept. Integr. VLSI. J., 38: 95-105.
Direct Link  |  

Vangal, S.R., J. Howard, G. Ruhl, S. Dighe and H. Wilson et al., 2008. An 80-tile sub-100-w teraflops processor in 65-nm cmos. Solid State Circuits IEEE. J., 43: 29-41.
CrossRef  |  Direct Link  |  

Wang, C., W.H. Hu, S.E. Lee and N. Bagherzadeh, 2011. Area and power-efficient innovative congestion-aware network-on-chip architecture. J. Syst. Archit., 57: 24-38.
Direct Link  |  

Wang, N., A. Sanusi, P.Y. Zhao, M. Elgamel and M.A. Bayoumi, 2010. PMCNOC: A pipelining multi-channel central caching network-on-chip communication architecture design. J. Signal Process. Syst., 60: 315-331.
CrossRef  |  Direct Link  |  

Wang, X., T. Ahonen and J. Nurmi, 2007. Applying CDMA technique to network-on-chip. IEEE. Trans. Very Large Scale Integr. Syst., 15: 1091-1100.
CrossRef  |  Direct Link  |  

Wiklund, D. and D. Liu, 2003. SoCBUS: Switched network on chip for hard real time embedded systems. Proceedings of the International Conference on Parallel and Distributed Processing, April 22-26, 2003, IEEE, New York, USA., ISBN: 0-7695-1926-1, pp: 1-8.

Wingard, D., 2001. MicroNetwork-based integration for SOCs. Proceedings of the Conference on Design Automation, June 22-22, 2001, IEEE, New York, USA., ISBN: 1-58113-297-2, pp: 673-677.

Wolkotte, P.T., G.J. Smit, G.K. Rauwerda and L.T. Smit, 2005. An energy-efficient reconfigurable circuit-switched network-on-chip. Proceedings of the 19th IEEE International Symposium on Parallel and Distributed Processing, April 4-8, 2005, IEEE, New York, USA., ISBN: 0-7695-2312-9, pp: 155-155.

Wu, L.W., W.X. Tang and Y. Hsu, 2011. A novel architecture and routing algorithm for dynamic reconfigurable network-on-chip. Proceedings of the 2011 IEEE 9th International Symposium on Parallel and Distributed Processing with Applications, May 26-28, 2011, IEEE, New York, USA., ISBN: 978-1-4577-0391-1, pp: 177-182.

Yaghini, P.M., A. Eghbal and N. Bagherzadeh, 2015. On the design of hybrid routing mechanism for mesh-based network-on-chip. Integr. VLSI J., 50: 183-192.
Direct Link  |  

Yang, Y.S., R. Kumar, G. Choi and P.V. Gratz, 2014. WaveSync: Low-latency source-synchronous bypass network-on-chip architecture. ACM. Trans. Des. Autom. Electron. Syst., 19: 34-34.
CrossRef  |  Direct Link  |  

Zeferino, C.A. and A.A. Susin, 2003. SoCIN: A parametric and scalable network-on-chip. Proceedings of the 16th Symposium on Integrated Circuits and Systems Design, September 8-11, 2003, IEEE, New York, USA., ISBN: 0-7695-2009-X, pp: 169-174.

Zeferino, C.A., M.E. Kreutz and A.A. Susin, 2004. RASoC: A router soft-core for networks-on-chip. Proceedings of the IEEE Conference on Design, Automation and Test in Europe and Exhibition, Feburary 16-20, 2004, IEEE, New York, USA., ISBN: 0-7695-2085-5, pp: 198-203.

Design and power by Medwell Web Development Team. © Medwell Publishing 2024 All Rights Reserved