Asian Journal of Information Technology

Year: 2016
Volume: 15
Issue: 24
Page No. 5181 - 5186

Evaluations of Cache Coherence Protocols in Terms of Power and Latency in Multiprocessors

Authors : Babak Aghaei and Negin Zaman-Zadeh

References

Agarwal, A., R. Simoni, J. Hennessy and M. Horowitz, 1988. An evaluation of directory schemes for cache coherence. Proceedings of the Conference on ACM SIGARCH Computer Architecture News, May 30-June 2, 1988, IEEE, New York, USA., ISBN:0-8186-0861-7, pp: 280-298.

Agarwal, N., T. Krishna, L.S. Peh and N.K. Jha, 2009. GARNET: A detailed on-chip network model inside a full-system simulator. Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, April 26-28, 2009, IEEE, New York, USA., ISBN: 978-1-4244-4184-6, pp: 33-42.

Archibald, J. and J.L. Baer, 1986. Cache coherence protocols: Evaluation using a multiprocessor simulation model. ACM. Trans. Comput. Syst., (TOCS), 4: 273-298.
CrossRef  |  Direct Link  |  

Atta, I., P. Tozun, A. Ailamaki and A. Moshovos, 2012. Slicc: Self-assembly of instruction cache collectives for oltp workloads. Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, December 1-5, 2012, IEEE, Washington, DC, USA., ISBN:978-0-7695-4924-8, pp: 188-198.

Binkert, N., B. Beckmann, G. Black, S.K. Reinhardt and A. Saidi et al., 2011. The gem5 simulator. ACM. SIGARCH. Comput. Archit. News, 39: 1-7.
CrossRef  |  Direct Link  |  

Binkert, N.L., R.G. Dreslinski, L.R. Hsu, K.T. Lim and A.G. Saidi et al., 2006. The M5 simulator: Modeling networked systems. IEEE. Micro, 26: 52-60.

Butko, A., R. Garibotti, L. Ost and G. Sassatelli, 2012. Accuracy evaluation of gem5 simulator system. Proceedings of the 7th International Conference on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), July 9-12, 2012, IEEE, Montpellier, France, ISBN:978-1-4673-2572-1, pp: 1-7.

Kivity, A., Y. Kamay, D. Laor, U. Lublin and A. Liguori, 2007. Kvm: The Linux virtual machine monitor. Proc. Linux Symp., 1: 225-230.

Kumar, M. and P. Arora, 2012. A survey of cache coherence protocols in multiprocessors with shared memory. Proc. Intl. Conf. Adv. Comput. Sci. Electron. Eng., 2: 148-152.

Lametti, S., 2010. Cache coherence techniques. Master's Thesis, School of Computer Science, University of Pisa, Pisa, Italy.

Protic, J., M. Tomasevic and V. Milutinovic, 1998. Distributed Shared Memory: Concepts and Systems. Vol. 21, John Wiley & Sons, Hoboken, New Jersey, USA.,.

Sherwood, T., E. Perelman, G. Hamerly and B. Calder, 2002. Automatically characterizing large scale program behavior. ACM. SIGOPS. Operating Syst. Rev., 36: 45-57.
CrossRef  |  Direct Link  |  

Stenstrom, P., 1990. A survey of cache coherence schemes for multiprocessors. Comput., 23: 12-24.
CrossRef  |  Direct Link  |  

Suh, T., 2006. Integration and evaluation of cache coherence protocols for multiprocessor socs. Ph.D Thesis, School of Electrical and Computer Engineering, Georgia Institute of Technology, Georgia, Georgia Tech.

Design and power by Medwell Web Development Team. © Medwell Publishing 2024 All Rights Reserved