Journal of Engineering and Applied Sciences

Year: 2019
Volume: 14
Issue: 10
Page No. 3283 - 3288

Wire-demotion for Static Timing Optimization in Advanced Technology Nodes

Authors : Lekbir Cherif, Mohammed Darmi, Jalal Benallal, Rachid Elgouri and Nabil Hmina

References

Abercrombie, D., 2014. Is Multi-Patterning Good for You?. SMG Inc., Crystal River, Florida. https://semiengineering.com/is-multi-patterning-good-for-you/

Anonymous, 2016. Nitro-SoCTM and olympus-SoCTM software version. R1 Yamaha Motor Company, Iwata, Shizuoka Prefecture, Japan.

Anonymous, 2016. Nitro-SoCTM and olympus-SoCTM user’s manual. Software Company, San Francisco, California.

Anonymous, 2016. Nitro‐SoCTM and olympus‐SoCTM advanced design flows guide. Software Company, San Francisco, California.

Anonymous, 2017. International Technology Roadmap for Semiconductors. ITRS Group Ltd Company, London, England.

Darmi, M., L. Cherif, J. Benallal, R. Elgouri and N. Hmina, 2017. Integrated circuit conception: A wire optimization technic reducing interconnection delay in advanced technology nodes. Electron., 6: 1-78.
CrossRef  |  Direct Link  |  

Ding, Y., C. Chu and W.K. Mak, 2016. Self-aligned double patterning-aware detailed routing with double via insertion and via manufacturability consideration. Proceedinds of the 53th ACM/EDAC/IEEE International Conference on Design Automation (DAC), June 5-9, 2016, IEEE, Austin, Texas, USA., ISBN:978-1-4673-8730-9, pp: 1-6.

Pan, C. and A. Naeemi, 2015. A paradigm shift in local interconnect technology design in the era of nanoscale multigate and gate-all-around devices. IEEE. Electr. Device Lett., 36: 274-276.
CrossRef  |  Direct Link  |  

Pan, C., R. Baert, I. Ciofi, Z. Tokei and A. Naeemi, 2015. System-level variation analysis for interconnection networks at sub-10-nm technology nodes using multiple patterning techniques. IEEE. Trans. Electr. Devices, 62: 2071-2077.
CrossRef  |  Direct Link  |  

Prasad, D., A. Ceyhan, C. Pan and A. Naeemi, 2015. Adapting interconnect technology to multigate transistors for optimum performance. IEEE. Trans. Electr. Devices, 62: 3938-3944.
CrossRef  |  Direct Link  |  

Prasad, D., C. Pan and A. Naeemi, 2016. Impact of interconnect variability on circuit performance in advanced technology nodes. Proceedings of the 17th International Symposium on Quality Electronic Design (ISQED), March 15-16, 2016, IEEE, Santa Clara, California, USA., ISBN:978-1-5090-1213-8, pp: 398-404.

Prasad, D., C. Pan and A. Naeemi, 2017. Modeling interconnect variability at advanced technology nodes and potential solutions. IEEE. Trans. Electr. Devices, 64: 1246-1253.
CrossRef  |  Direct Link  |  

Rabaey, J.M., A.P. Chandrakasan and B. Nikolic, 2003. Digital Integrated Circuits: A Design Perspective. 2nd Edn., Pearson, New York, USA., Pages: 761.

Sadrusham, N.J., 2008. Net delay or interconnect delay or wire delay or extrinsic delay or flight time. ASIC Company, India. http://asic-soc.blogspot.com/2008/10/net-delay.html

Tu, W.P., C.H. Chou, S.H. Huang, S.C. Chang and Y.T. Nieh et al., 2013. Low-power timing closure methodology for ultra-low voltage designs. Proceedings of the International Conference on Computer-Aided Design (ICCAD), November 18-21, 2013, IEEE Press, Piscataway, New Jersey, USA., ISBN:978-1-4799-1069-4, pp: 697-704.

Design and power by Medwell Web Development Team. © Medwell Publishing 2024 All Rights Reserved